PEOPLE Power Estimation for fast Exploration of Embedded Systems

Ziele

Ziel des Projektes ist die Entwicklung von CAD Werkzeugen zurAnalyse der zu erwartenden Verlustleistung von eingebetteten Systemen. Sie erlauben eine schnelle und genaue Analyse für die Verlustleistungsabschätzung und Optimierung von Schaltungen beschrieben auf der algorithmischen Abstraktionsebene bis hinunter zur Register-Transfer-Ebene. Die Zielarchitektur der betrachteten Systeme können sowohl sogenannte Hard- und Softmakros, Speicher wie RAMs und ROMs als auch komplexe IP cores wie Prozessoren enthalten. Es werden Werkzeuge zur Abschätzung der Verlustleistung der Software, der Speicher und der Hardware, die auf algorithmischer oder der RT-Ebene beschrieben sein kann, entwickelt.

 

Der Beitrag von OFFIS zum Projekt ist die Analyse der Hardware aufalgorithmischer Ebene sowie der Speicher. Das algorithmische Analysewerkzeug führt eine Verlustleistungsabschätzung komplexer Hardwareblöcke durch, die in VHDL beschrieben sind. Eine Analyse auf solch hoher Abstraktionsebene wird benötigt, um verschiedene Hardware/Software Aufteilungen des Systems zu untersuchen, selbst entwickelte Blöcke mit IPs zu vergleichen, sowie verschiedene Zieltechnologien zu evaluieren. Die Analyse wird simulationsbasiert sein, wobei auf kommerzielle VHDL-Simulatoren zurückgegriffen wird. Dabei wird ein Profiling der VHDL-Beschreibung zur Ermittlung von Datenstatistiken durchgeführt während die zu erwartende Schaltungsstruktur aus einem Kontroll-Datenflußgraphen abgeleitet wird, ohne eine komplette Synthese durchzuführen. Komponenten der RT-Ebene, auf die das Design später abgebildet wird, werden zuvor charakterisiert. Die Leistungsabschätzung der Speicher erfolgt ebenfalls basierend auf eine Charakterisierung. Es werden sowohl off-chip als auch on-chip Speicher unterstützt. Der Speicheranalysator ist Bestandteil des algorithmischen Analysewerkzeugs.

 

Das Projekt wurde von der Kommission der europäischen Gemeinschaft finanziert. Die Projektpartner sind der CAD-Werkzeughersteller Synopsys LEDA, Italtel und Alcatel als Werkzeuganwender, die Firma ARM, die ihre Prozessoren für die Softwareanalyse charakterisiert, und das Politecnico di Torino, ein Forschungsinstitut, das die Werkzeuge für die Software und die RT-Ebene entwickelt.

Personen

Wissenschaftliche Leitung

Publikationen
Array-Datenfluß-Analyse am Abhängigkeitsgraphen

Kopperschmidt, Klaus; 10 / 1999

Low Power Design for SoCs

Nebel, Wolfgang and Helms, Domenik and Schmidt, Eike and Schulte, Milan and Stammermann, Ansgar; Tagungsband; 10 / 2002

Memory Power Models For Multilevel Power Estimation And Optimization

Schmidt, Eike and von Cölln (Jochens), Gerd and Kruse, Lars and Theeuwen, Frans and Nebel, Wolfgang; 001 / 2002

Power consumption of on-chips roms: Analysis and modeling.

Schmidt, Eike and Huijbrechts, E. and Seelen, E. and Nieuweboer, W. and Kruse, Lars and von Cölln (Jochens), Gerd and Nebel, Wolfgang; 001 / 1998

Low power binding heuristics

Kruse, Lars and Schmidt, Eike and von Cölln (Jochens), Gerd and Nebel, Wolfgang and Stammermann, Ansgar; 001 / 1999

Lower and upper bounds on the switching activity in scheduled data flow graphs

Kruse, Lars and Schmidt, Eike and von Cölln (Jochens), Gerd and Nebel, Wolfgang; 001 / 1999

A new parameterizable power macro-model for datapath components

von Cölln (Jochens), Gerd and Kruse, Lars and Schmidt, Eike and Nebel, Wolfgang; 001 / 1999

Lower bounds on the power consumption in scheduled data flow graphs with resource constraints

Kruse, Lars and Schmidt, Eike and von Cölln (Jochens), Gerd and Stammermann, Ansgar and Nebel, Wolfgang; 001 / 2000

Automatic Nonlinear Memory Power Modelling

Schmidt, Eike and von Cölln (Jochens), Gerd and Kruse, Lars and Theeuwen, Frans; 001 / 2001

Automatic Generation of Complexity Functions for High Level Power Analysis

Schmidt, Eike and Schulz, Arne and Kruse, Lars and von Cölln (Jochens), Gerd and Nebel, Wolfgang; 001 / 2001

ORINOCO: Verlustleistungsanalyse und Optimierung auf der algorithmischen Abstraktionsebene

Stammermann, Ansgar and Kruse, Lars and Schmidt, Eike and Pratsch, Alexander and Schulte, Milan and Schulz, Arne and Nebel, Wolfgang; 001 / 2001

System Level Optimization and Design Space Exploration for Low Power

Stammermann, Ansgar and Kruse, Lars and Nebel, Wolfgang and Pratsch, Alexander and Schmidt, Eike and Schulte, Milan and Schulz, Arne; 001 / 2001

Comparison of a RT - and Behavioral-Level Design Entry Regarding Power

Nebel, Wolfgang and Poppen, Frank; 001 / 2001

Estimation of lower and upper bounds on the power consumption from scheduled data flow graphs

Kruse, Lars and Schmidt, Eike and von Cölln (Jochens), Gerd and Stammermann, Ansgar and Schulz, Arne and Macii, E. and Nebel, Wolfgang; 001 / 2001

Power Modeling of Embedded Memories

Schmidt, Eike; 001 / 2003

Partner
Alcatel SEL
ARM Limited
www.arm.com
Italtel
www.italtel.it
LEDA S.A.
Politecnico di Torino
www.polito.it
Siemens ICN S.p.A.

Laufzeit

Start: 01.04.2000
Ende: 30.06.2001

Verwandte Projekte

CLEAN

Controlling LEAkage power in NanoCMOS SoCs

POET

Verlustleistungs-Optimierungen eingebetteter Systeme

LEMOS

Low-Power-Entwurfsmethoden für mobile Systeme