CLEAN Controlling LEAkage power in NanoCMOS SoCs

Ziele

Der Energieverbrauch elektronischer Geräte bildet heute mit die größte Herausforderung bei der Entwicklung eingebetteter Systeme in Form von integrierten Schaltungen. Bedingt durch die fortschreitende Verkleinerung der Strukturen mikroelektronischer Systeme ergibt sich eine dramatische Zunahme der statischen Verlustleistung (engl. Leakage Power). Die Beherrschung eines dadurch höheren Stromverbrauchs hat sich OFFIS im Rahmen des von der EU geförderten Integrierten Projektes CLEAN zur Aufgabe gemacht.

Erforscht und entwickelt werden in diesem Projekt geeignete Methoden und Werkzeuge für den Chipentwurf, mit dem Ziel einer möglichst hohen Einsparung beim Verbrauch elektrischer Energie. Neben beispielsweise Akkulaufzeiten hat die Nichtbeachtung solcher Aspekte zusätzliche Überarbeitungsschritte während des Entwurfs zur Folge, welche erhebliche Auswirkungen auf die Kosten und insbesondere auch das Erreichen des Marktfensters haben.

OFFIS erweitert mit CLEAN seine insbesondere in den Projekten PEOPLE, POET und LEMOS erworbenen Kompetenzen im Bereich der Analyse und Optimierung eingebetteter Systeme hinsichtlich Power. Zugleich ist OFFIS der technische Koordinator des Konsortiums, in dem namhafte europäische Forschungseinrichtungen und Firmen, wie etwa Infineon und ST-Microelectronics, vertreten sind, sowie mehrere KMUs, beispielsweise das erfolgreich aus dem OFFIS-Bereich HS ausgegründete Unternehmen ChipVision Design Systems AG, welches die kommerzielle Weiterentwicklung, sowie den Vertrieb im OFFIS entstandener Werkzeuge betreibt.

Personen

Wissenschaftliche Leitung

Publikationen
Logic design techniques for 65 to 45nm and below for reducing total energy and solving technology variations problems

Helms, Domenik and Nebel, Wolfgang; Proceedings on the 14th IEEE Internactional Conference on Electronics, Circuits, and Systems; 12 / 2007

System Level Optimization of Static Power Consumption in Nano-CMOS Circuits

Helms, Domenik; Proceedings of the 14th International Conference MIXDES 2007; 001 / 2007

Voltage- and ABB_Island Optimization in High Level Synthesis

Helms, Domenik and Meyer, Olaf and Hoyer, Marco and Nebel, Wolfgang; Intl. Symposium on Low Power Electronic Design; 001 / 2007

Modelling the impact of high level leakage optimization techniques on the delay of RT-components

Hoyer, M. and Helms, D. and Nebel, W.; Proceedings of the PATMOS 2007; 001 / 2007

Analysis and Modeling of Subthreshold Leakage of RT-Components under PTV and State Variation

Helms, Domenik and Ehmen, Günter and Nebel, Wolfgang; Tagungsband; 10 / 2006

Leakage Currents in Nanometer CMOS

Nebel, Wolfgang and Helms, Domenik and Keshavarzi, Ali; -; 10 / 2006

Leakage Power Modeling, Estimation and Optimization

Helms, Domenik; Tagungsband für die Workshopteilnehmer; 004 / 2005

Leakage in CMOS Circuits - An Introduction

Helms Domenik and Schmidt, Eike and Nebel, Wolfgang; Tagungsband; 009 / 2004

Power Management Aware Low Leakage Behavioural Synthesis

Rosinger, S. and Schröder, K. and Nebel, W.; Proceedings of the 12th Euromicro Conference on Digital System Design; 001 / 2009

RT Level Makro Modelling of Leakage and Delay under Realistic PTV Variation

Helms, Domenik and Hoyer, Marko and Rosinger, Sven and Nebel, Wolfgang; Proceedings of LPonTR; 005 / 2008

Leakage models for high level power estimation

Domenik Helms ; Reef Eilers ; Malte Metzdorf ; Wolfgang Nebel; IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems; 11 / 2017

Partner
Budapest University of Technology and Economics
portal.bme.hu/langs/en/default.aspx
BullDAST s.r.l.
ChipVision Design Systems AG
Commissariat à l’Energie Atomique LETI Laboratory
www.pw.edu.pl
Consorzio per la Ricerca e l’Educazione Permanente
www.corep.it
Edacentrum GmbH
www.edacentrum.com
Infineon Technologies AG
www.infineon.com
Politechnika Warszawska
www.pw.edu.pl
Politecnico di Torino
www.polito.it
STMicroelectronics srl
www.st.com
DTU - Danmarks Tekniske Universitet
www.dtu.dk
Universitat Politecnica de Catalunya
www.upc.es

Laufzeit

Start: 01.11.2005
Ende: 31.10.2008

Verwandte Projekte

MAP2

Micro-Architectural Power Management: Methoden, Algorithmen und prototypische Werkzeuge

PEOPLE

Power Estimation for fast Exploration of Embedded Systems

POET

Verlustleistungs-Optimierungen eingebetteter Systeme