OSSS Methodology - System-Level Design and Synthesis of Embedded HW/SW Systems in C++

BIB
Grüttner, Kim and Oppenheimer, Frank and Nebel, Wolfgang
Proceedings of ISABEL 2008
The OSSS methodology designes a seamless design flow for embedded HW/SW systems. It enables the effective use of high-level SystemCTM and C++ features like classes (object-oriented design paradigm), templates and method based communication for the description of SW and HW. Furthermore, it supports the OSCI SystemC Synthesis Subset for low-level HW description and HW IP integration. With Fossy we provide a tool for the automatic transformation of a system description in OSSS to an implementation. In this paper we present a top-down design flow using the OSSS methodology for the implementation of an adaptive video filter. The last step of the proposed design flow has been performed automatically by Fossy. We have targeted a Xilinx FPGA to proof the usability of a physical implementation for future SoC designs.
01 / 2008
inproceedings