@phdthesis{Phi2018, Author = {Philipp Ittershagen}, Title = {Application Modelling and Performance Estimation of Mixed-Critical Embedded Systems}, Year = {2018}, Url = {https://uol.de/fileadmin/user_upload/informatik/ittapp18.pdf}, type = {phdthesis}, Abstract = {The focus of the challenges in developing embedded systems is significantly shifting towards the integration of multiple subsystems on a powerful single platform while considering the cost, size, and power consumption constraints as well as the heterogeneous, domain-specific requirements which are characteristic in the domain of embedded systems. The rise of mixed-critical embedded systems moreover imposes novel challenges on the specification, development, and functional validation in the design flow. In the emerging dynamic scheduling context of mixed-criticality platforms, the system behaviour needs to be estimated in an early step in the design flow to assess the integration impact, especially for quality of service-driven, low-critical subsystems. This work provides a modelling and integration flow for specifying, estimating, and evaluating software functions, ranging from an initial executable specification to an implementation candidate on a Multi-Processor System-on-a-Chip. The flow starts with a mixed-criticality programming model proposed to express safety- as well as performance-critical functional behaviour along with their real-time requirements. A component-based refinement flow then provides an implementation candidate on a contemporary MPSoC. The integrated measurement infrastructure then allows for systematically analysing the functional behaviour as well as the overhead of mechanisms for isolating, managing, and observing the refined mixed-criticality subsystems. Based on a data-driven model to evaluate dynamic resource consumption effects of high-critical subsystems, the thesis proposes a method for constructing workload models of safety-critical software components on the target platform. The evaluation of this work demonstrates that these models can support mixed-criticality system integration scenarios where intellectual property issues may prevent integration or the need for fast time-to-market goals require a decoupled development and integration phase of mixed-critical applications.} } @COMMENT{Bibtex file generated on }